|
local | Introduction to building an MCU* system yourself |
local | Sample 8085 hobby project by Lewis Stockill, that I helped debug |
www.psyber.com/~dibsed/CIRCATS/ | Similar Z80 project, see the 00index.txt and z80*.* files |
www.rentron.com/ | Lot of other projects |
www.spacetimepro.com/dyop.htm | Design your own processor in FPGA*! |
www.thesis.cjb.net/ | Design your own processor in FPGA*! |
www.robotprojects.com/ | Robot projects |
Date: 20001027 From: WAM To: The 68hc11 mailing list Subject: Re: CPU* schematics - VHDL
I would like to obtain some full-size (D or E size) digital logic schematics for a typical CPU* or MCU*.I realy don't think they exist; typical chip design is done with HDL's and not schematic entry. If you want to teach how chips are designed and how complex they can be schematically, download the free versions of MAX-PLUS II and FPGA* EXPRESS from Altera's web site. Have the students open or start a new project based on the mult_hdl.vhd file in FPGA* EXPRESS sample directory. Have them look at the simple entity declaration in the source file:
entity mult is
port(
a, b : in std_logic_vector(7 downto 0);
prod : out std_logic_vector(15 downto 0)
);
end mult;
Also have them check out the single behavioral statement in the architecture:
prod <= a*b;
Goto: | Main | Mirror | About | Author |
Register: | Yourself | Company | ||
Feedback: | Correction | Addition | Question | Forum |
Order: | Chips (Deutsch) | Chips (English) | Chips (Nederlands) |